您现在所在位置: 雷火竞技 > 雷火新闻

雷火竞技

Company information

行业动态

Industry dynamics

常见问题

Common Problem

雷火竞技大科普:最全面的半导体晶圆工艺介绍

发布日期:2023-04-16 18:04 浏览次数:

  晶圆(wafer) 是制造半导体器件的基础性原材料。 极高纯度的半导体经过拉晶、切片等工序制备成为晶圆,晶圆经过一系列半导体制造工艺形成极微小的电路结构,再经切割、封装、测试成为芯片,广泛应用到各类电子设备当中。 晶圆材料经历了 60 余年的技术演进和产业发展,形成了当今以硅为主、 新型半导体材料为补充的产业局面。

  20 世纪 50 年代,锗(Ge)是最早采用的半导体材料,最先用于分立器件中。集成电路的产生是半导体产业向前迈进的重要一步, 1958 年 7 月,在德克萨斯州达拉斯市的德州仪器公司,杰克·基尔比制造的第一块集成电路是采用一片锗半导体材料作为衬造的。

  但是锗器件的耐高温和抗辐射性能存在短板,到 60 年代后期逐渐被硅(Si) 器件取代。 硅储量极其丰富,提纯与结晶工艺成熟, 并且氧化形成的二氧化硅(SiO2)薄膜绝缘性能好,使得器件的稳定性与可靠性大为提高, 因而硅已经成为应用最广的一种半导体材料。半导体器件产值来看,全球 95%以上的半导体器件和 99%以上的集成电路采用硅作为衬底材料。

  2017 年全球半导体市场规模约 4122 亿美元,而化合物半导体市场规模约 200亿美元,占比 5%以内。 从晶圆衬底市场规模看, 2017 年硅衬底年销售额 87 亿美元, GaAs衬底年销售额约 8 亿美元。 GaN 衬底年销售额约 1 亿美元, SiC 衬底年销售额约 3 亿美元。硅衬底销售额占比达 85%+。 在 21 世纪,它的主导和核心地位仍不会动摇。但是 Si 材料的物理性质限制了其在光电子和高频、 高功率器件上的应用。

  20 世纪 90 年代以来,以砷化镓(GaAs)、磷化铟(InP)为代表的第二代半导体材料开始崭露头脚。 GaAs、 InP 等材料适用于制作高速、高频、大功率以及发光电子器件,是制作高性能微波、毫米波器件及发光器件的优良材料,广泛应用于卫星通讯、移动通讯、光通

  信、 GPS 导航等领域。但是 GaAs、 InP 材料资源稀缺,价格昂贵,并且还有毒性,能污染环境, InP 甚至被认为是可疑致癌物质,这些缺点使得第二代半导体材料的应用具有很大的局限性。

  第三代半导体材料主要包括 SiC、 GaN 等,因其禁带宽度(Eg)大于或等于 2.3 电子伏特(eV),又被称为宽禁带半导体材料。 和第一代、第二代半导体材料相比,第三代半导体材料具有高热导率、高击穿场强、高饱和电子漂移速率和高键合能等优点,可以满足现代电子技术对高温、高功率、高压、高频以及抗辐射等恶劣条件的新要求,是半导体材料领域最有前景的材料,在国防、航空、航天、石油勘探、光存储等领域有着重要应用前景,在宽带通讯、太阳能、汽车制造、半导体照明、智能电网等众多战略行业可以降低 50%以上的能量损失,最高可以使装备体积减小 75%以上,对人类科技的发展具有里程碑的意义。

  化合物半导体是指两种或两种以上元素形成的半导体材料, 第二代、第三代半导体多属于这一类。 按照元素数量可以分为二元化合物、三元化合物、四元化合物等等,二元化合物半导体按照组成元素在化学元素周期表中的位置还可分为 III-V 族、 IV-IV 族、 II-VI 族等。 以砷化镓(GaAs)、氮化镓(GaN)、碳化硅(SiC)为代表的化合物半导体材料已经成为继

  硅之后发展最快、应用最广、产量最大的半导体材料。 化合物半导体材料具有优越的性能和能带结构:

  因而化合物半导体多用于射频器件、光电器件、功率器件等制造,具有很大发展潜力;硅器件则多用于逻辑器件、存储器等, 相互之间具有不可替代性。

  晶圆制备包括衬备和外延工艺两大环节。 衬底(substrate)是由半导体单晶材料制造而成的晶圆片, 衬底可以直接进入晶圆制造环节生产半导体器件,也可以进行外延工艺加工生产外延片。 外延(epitaxy)是指在单晶衬底上生长一层新单晶的过程,新单晶可以与衬底为同一材料,也可以是不同材料。 外延可以生产种类更多的材料,使得器件设计有了更多选择。

  衬备的基本步骤如下: 半导体多晶材料首先经过提纯、掺杂和拉制等工序制得单晶材料,以硅为例, 硅砂首先提炼还原为纯度约 98%的冶金级粗硅,再经多次提纯,得到电子级高纯度多晶硅(纯度达 99.9999999%以上, 9~11 个 9),经过熔炉拉制得到单晶硅棒。单晶材料经过机械加工、化学处理、 表面抛光和质量检测,获得符合一定标准(厚度、晶向、平整度、平行度和损伤层)的单晶抛光薄片。 抛光目的是进一步去除加工表面残留的损伤层,抛光片可直接用于制作器件,也可作为外延的衬底材料。

  外延生长工艺目前业界主要包括 MOCVD(化学气相沉淀)技术以及 MBE(分子束外延)技术两种。 例如,全新光电采用 MOCVD,英特磊采用 MBE 技术。

  相比之下, MOCVD技术生长速率更快,更适合产业化大规模生产,而 MBE 技术在部分情况如 PHEMT 结构、Sb 化合物半导体的生产中更适合采用。 HVPE(氢化物气相外延)技术主要应用于 GaN 衬底生产。 LPE(液相沉积)技术主要用于硅晶圆,目前已基本被气相沉积技术所取代。

  硅晶圆尺寸最大达 12 寸, 化合物半导体晶圆尺寸最大为 6 英寸。 硅晶圆衬底主流尺寸为 12 英寸,约占全球硅晶圆产能 65%, 8 寸也是常用的成熟制程晶圆,全球产能占比 25%。GaAs 衬底主流尺寸为 4 英寸及 6 英寸; SiC 衬底主流供应尺寸为 2 英寸及 4 英寸; GaN 自支撑衬底以 2 英寸为主。

  雷火竞技

  SiC 衬底目前尺寸已达 6 英寸, 8 英寸正在研发(II-VI 公司已制造出样品) 。而实际上主流采用的仍为 4 英寸晶圆。主要原因是(1)目前 6 英寸 SiC 晶圆大概是 4 英寸成本的 2.25倍,到 2020 年大概为 2 倍,在成本缩减上并没有大的进步,并且更换设备机台需要额外的资本支出, 6 英寸目前优势仅在生产效率上;(2) 6 英寸 SiC 晶圆相较于 4 英寸晶圆在品质上偏低,因而目前 6 英寸主要用于制造二极管,在较低质量晶圆上制造二极管比制造MOSFET 更为简单。

  GaN 材料在自然界中缺少单晶材料,因而长期在蓝宝石、 SiC、 Si 等异质衬底上进行外延。 现今通过氢化物气相外延(HVPE)、氨热法可以生产 2 英寸、 3 英寸、 4 英寸的 GaN自支撑衬底。 目前商业应用中仍以异质衬底上的 GaN 外延为主, GaN 自支撑衬底在激光器上具有最大应用,可获得更高的发光效率及发光品质。

  从硅晶圆供给厂商格局: 日厂把控, 寡头格局稳定。日本厂商占据硅晶圆 50%以上市场份额。前五大厂商占据全球 90%以上份额。 其中,日本信越化学占比 27%、日本 SUMCO 占比 26%,两家日本厂商份额合计 53%,超过一半,中国台湾环球晶圆于 2016 年 12 月晶圆产业低谷期间收购美国 SunEdison 半导体,由第六晋升第三名,占比 17%,德国 Siltronic 占比 13%,韩国 SK Siltron(原 Siltron, 2017年被 SK 集团收购) 占比 9%,与前四大厂商不同, SK Siltron 仅供应韩国客户。

  此外还有法国 Soitec、中国台胜科、合晶、嘉晶等企业,份额相对较小。各大厂商供应晶圆类别与尺寸上有所不同,总体来看前三大厂商产品较为多样。 前三大厂商能够供应 Si 退火片、 SOI 晶片,其中仅日本信越能够供应 12 英寸 SOI 晶片。德国Siltronic、韩国 SK Siltron 不提供 SOI 晶片, SK Siltron 不供应 Si 退火片。而 Si 抛光片与Si 外延片各家尺寸基本没有差别。

  近 15 年来日本厂商始终占据硅晶圆 50%以上市场份额。硅晶圆产能未发生明显区域性转移。 根据 Gartner, 2007 年硅晶圆市占率第一日本信越(32.5%)、第二日本 SUMCO(21.7%)、第三德国 Siltronic(14.8%) ; 2002 年硅晶圆市占率第一日本信越(28.9%)、第二日本 SUMCO(23.3%)、第三德国 Siltronic(15.4%) 。 近期市场比较大的变动是 2016年 12 月台湾环球晶圆收购美国 SunEdison,从第六大晋升第三大厂商。但日本厂商始终占据 50%+份额。

  日本在 fab 环节竞争力衰落而材料环节始终保持领先地位。 20 世纪 80 年代中旬,日本半导体产业的世界份额曾经超过了 50%。日本在半导体材料领域的优势从上世纪延续而来,而晶圆制造竞争力明显减弱, 半导体 fab 环节出现了明显的区域转移。究其原因, fab 环节离需求端较近,市场变动大;但硅晶圆同质化程度高,新进入玩家需要在客户有比较久的时间验证;且晶圆在晶圆代工中成本占比 10%以下,晶圆代工厂不愿为较小的价格差别冒险更换不成熟的产品。

  IC 设计方面, 巨头把控竞争壁垒较高, 2018 年以来 AI 芯片成为新成长动力。 高通、博通、联发科、苹果等厂商实力最强,大陆厂商海思崛起。 随着科技发展引领终端产品升级,AI 芯片等创新应用对 IC 产品需求不断扩大,预计到 2020 年 AI 芯片市场规模将从 2016 年约 6 亿美元升至 26 亿美元, CAGR 达 43.9%,目前国内外 IC 设计厂商正积极布局 AI 芯片产业。英伟达是 AI 芯片市场领导者, AMD 与特斯拉正联合研发用于自动驾驶的 AI 芯片。

  对于国内厂商,华为海思于 2017 年 9 月率先推出麒麟 970 AI 芯片,目前已成功搭载入 P20等机型;比特大陆发布的全球首款张量加速计算芯片 BM1680 已成功运用于比特币矿机;寒武纪的 1A 处理器、地平线的征程和旭日处理器也已崭露头角。IC 设计面向终端、面向市场成为必然,国内厂商优势明显。 IC 设计业以需求为导向,才能够更好服务于下游客户。海思、展锐等移动处理芯片、基带芯片厂商依靠近些年中国智能手机市场爆发迅速崛起,跻身世界 IC 设计十强,海思芯片已全面应用到华为智能手机当中,三星、小米等厂商亦采用了自研芯片, 现今中国为全球最大的终端需求市场,因而国内IC 设计业有巨大发展优势。

  代工制造方面,厂商 Capex 快速增长,三星、台积电等巨头领衔。 从资本支出来看,目前全球先进制程芯片市场竞争激烈,全球排名前三的芯片制造商三星、英特尔、台积电的Capex 均达到百亿美元级别, 2017 年分别为 440/120/108 亿美元,预计三星未来三年总Capex 接近 1100 亿美元,英特尔和台积电 2018 年 Capex 则预计分别达到 140 和 120 亿美元,均有较大幅度的增长,利于巨头通过研发先进制程技术和扩张产线来占领市场。

  从工艺制程来看,台积电走在行业前列,目前已大规模生产 10nm 制程芯片, 7nm 制程将于 2018年量产;中国大陆最为领先的代工厂商中芯国际目前具备 28nm 制程量产能力,而台积电早于 2011 年已具备 28nm 量产能力,相比之下大陆厂商仍有较大差距。

  封测方面,未来高端制造+封测融合趋势初显,大陆厂商与台厂技术差距缩小。 封装测试技术目前已发展四代,在最高端技术上制造与封测已实现融合,其中台积电已建立起CoWoS 及 InFO 两大高阶封装生态系统,并计划通过从龙潭延伸至中科将 InFO 产能扩增一倍,以满足苹果 A12 芯片的需求。

  封测龙头日月光则掌握顶尖封装与微电子制造技术,率先量产 TSV/2.5D/3D 相关产品,并于 2018 年 3 月与日厂 TDK 合资成立日月旸电子扩大 SiP布局。由于封装技术门槛相对较低,目前大陆厂商正快速追赶,与全球领先厂商的技术差距正逐步缩小,大陆厂商已基本掌握 SiP、 WLCSP、 FOWLP 等先进技术,应用方面 FC、 SiP等封装技术已实现量产。

  新一轮区域转移面向中国大陆。 尽管目前 IC 设计、制造、封测的顶级厂商主要位于美国、中国台湾。总体来看,半导体制造产业经历了美国——日本——韩台的发展历程: 1950s,半导体产业起源于美国, 1947 年晶体管诞生, 1958 年集成电路诞生。 1970s,半导体制造由美国向日本转移。 DRAM 是日韩产业发展的重要切入点, 80s 日本已在半导体产业处于领先地位。 1990s,以 DRAM 为契机,产业转向韩国三星、海力士等厂商;晶圆代工环节则转向台湾,台积电、联电等厂商崛起。 2010s,智能手机、移动互联网爆发,物联网、大数据、云计算、人工智能等产业快速成长。人口红利,需求转移或将带动制造转移,可以预见中国大陆已然成为新一轮区域转移的目的地。

  晶圆尺寸与工艺制程并行发展,每一制程阶段与晶圆尺寸相对应。 (1) 制程进步→晶体管缩小→晶体管密度成倍增加→性能提升。 (2) 晶圆尺寸增大→每片晶圆产出芯片数量更多→效率提升→成本降低。 目前 6 吋、 8 吋硅晶圆生产设备普遍折旧完毕,生产成本更低,主要生产 90nm 以上的成熟制程。 部分制程在相邻尺寸的晶圆上都有产出。 5nm 至 0.13μm则采用 12 英寸晶圆,其中 28nm 为分界区分了先进制程与成熟制程,主要原因是 28nm 以后引入 FinFET 等新设计、新工艺,晶圆制造难度大大提升。

  晶圆需求总量来看, 12 英寸 NAND 及 8 英寸市场为核心驱动力。 存储用 12 寸硅晶圆占比达 35%为最大, 8 寸及 12 英寸逻辑次之。 以产品销售额来看,全球集成电路产品中,存储器占比约 27.8%,逻辑电路占比 33%,微处理器芯片合模拟电路分别占 21.9%和 17.3%。根据我们预测,全球 2016 年下半年 12 寸硅晶圆需求约 510 万片/月,其中用于逻辑芯片的需求 130 万片/月,用于 DRAM 需求 120 万片/月,用于 NAND 需求 160 万片/月,包括 NORFlash、 CIS 等其他需求 100 万片/月; 8 寸硅晶圆需求 480 万片/月,按面积折算至 12 寸晶圆约 213 万片/月, 6 寸以下晶圆需求约当 12 寸 62 万片/月。

  由此估算,包括 NAND、 DRAM在内用于存储市场的 12 寸晶圆需求约占总需求 35%, 8 寸晶圆需求约占总需求 27%,用于逻辑芯片的 12 寸晶圆需求约占 17%。需求上看,目前存储器贡献晶圆需求最多, 8 寸中低端应用其次。

  下游具体应用来看, 12 英寸 20nm 以下先进制程性能强劲, 主要用于移动设备、 高性能计算等领域, 包括智能手机主芯片、计算机 CPU、 GPU、高性能 FPGA、 ASIC 等。14nm-32nm 先进制程应用于包括 DRAM、 NAND Flash 存储芯片、中低端处理器芯片、影像处理器、数字电视机顶盒等应用。

  12 英寸 45-90nm 的成熟制程主要用于性能需求略低,对成本和生产效率要求高的领域,例如手机基带、 WiFi、 GPS、蓝牙、 NFC、 ZigBee、 NOR Flash 芯片、 MCU 等。 12 英寸或 8 英寸 90nm 至 0.15μm 主要应用于 MCU、指纹识别芯片、影像传感器、电源管理芯片、液晶驱动 IC 等。 8 英寸 0.18μm-0.25μm 主要有非易失性存储如银行卡、 sim 卡等, 0.35μm 以上主要为 MOSFET、 IGBT 等功率器件。

  衬底市场: 高技术门槛导致化合物半导体衬底市场寡占,日本、美国、德国厂商主导。GaAs 衬底目前已日本住友电工、德国 Freiberg、美国 AXT、日本住友化学四家占据,四家份额超 90%。住友化学于 2011 年收购日立电缆(日立金属)的化合物半导体业务,并于 2016年划至子公司 Sciocs。 GaN 自支撑衬底目前主要由日本三家企业住友电工、三菱化学、住友化学垄断,占比合计超 85%。 SiC 衬底龙头为美国 Cree(Wolfspeed 部门),市场占比超三分之一,其次为德国 SiCrystal、美国 II-VI、美国 Dow Corning,四家合计份额超 90%。近几年中国也出现了具备一定量产能力的 SiC 衬造商,如天科合达蓝光。

  外延生长市场中,英国 IQE 市场占比超 60%为绝对龙头。 英国 IQE 及中国台湾全新光电两家份额合计达 80%。 外延生长主要包括 MOCVD(化学气相沉淀)技术以及 MBE(分子束外延)技术两种。例如, IQE、 全新光电均采用 MOCVD,英特磊采用 MBE 技术。 HVPE(氢化物气相外延)技术主要应用于 GaN 衬底的生产。

  化合物半导体晶圆代工领域稳懋为第一大厂商,占比 66%,为绝对龙头。 第二、第三为宏捷科技 AWSC、 环宇科技 GCS,占比分别为 12%、 9%。国内设计推动代工, 大陆化合物半导体代工龙头呼之欲出。 目前国内 PA 设计已经涌现了锐迪科 RDA、 唯捷创芯 vanchip、汉天下、 飞骧科技等公司。

  国内化合物半导体设计厂商目前已经占领 2G/3G/4G/WiFi 等消费电子市场中的低端应用。 三安光电目前以 LED 应用为主,有望在化合物半导体代工填补国内空白,其募投产线 片/月产能,成为大陆第一家规模量产 GaAs/GaN 化合物晶圆代工企业。

  化合物半导体下游具体应用主要可分为两大类:光学器件和电子设备。 光学器件包括LED 发光二极管、 LD 激光二极管、 PD 光接收器等。 电子器件包括 PA 功率放大器、 LNA低噪声放大器、射频开关、数模转换、微波单片 IC、功率半导体器件、霍尔元件等。 对于GaAs 材料而言, SC GaAs(单晶砷化镓) 主要应用于光学器件, SI GaAs(半绝缘砷化镓)

  光学器件中, LED 为占比最大一项, LD/PD、 VCSEL 成长空间大。 Cree 大约 70%收入来自 LED,其余来自功率、射频、 SiC 晶圆。 SiC 衬底 80%的市场来自二极管,在所有宽禁带半导体衬底中, SiC 材料是最为成熟的。不同化合物半导体材料制造的 LED 对应不同波长光线: GaAs LED 发红光、绿光, GaP 发绿光, SiC 发黄光, GaN 发蓝光,应用 GaN蓝光 LED 激发黄色荧光材料可以制造白光 LED。此外 GaAs 可制造红外光 LED,常见的应用于遥控器红外发射, GaN 则可以制造紫外光 LED。 GaAs、 GaN 分别制造的红光、蓝光激光发射器可以应用于 CD、 DVD、蓝光光盘的读取。

  电子器件中,主要为射频和功率应用。 GaN on SiC、 GaN 自支撑衬底、 GaAs 衬底、GaAs on Si 主要应用于射频半导体(射频前端 PA 等); 而 GaN on Si 以及 SiC 衬底主要应用于功率半导体(汽车电子等)。

  GaN 由于功率密度高,在基站大功率器件领域具有独特优势。 相对于硅衬底来说, SiC衬底具有更好的热传导特性,目前业界超过 95%的 GaN 射频器件采用 SiC 衬底,如 Qorvo采用的正是基于 SiC 衬底的工艺,而硅基 GaN 器件可在 8 英寸晶圆制造,更具成本优势。在功率半导体领域, SiC 衬底与 GaN on Silicon 只在很小一部分领域有竞争。 GaN 市场大多是低压领域,而 SiC 在高压领域应用。 它们的边界大约是 600V。

  CPU 目前华为海思可以独立设计,此外还包括小米松果等 fabless 设计公司, 但由于采用 12 英寸最先进制程,制造主要依赖中国台湾企业; DRAM、 NAND 闪存国内尚无相关公司量产;前端 LTE 模块、 WiFi 蓝牙模块采用了 GaAs 材料, 产能集中于 Skyworks、 Qorvo 等美国 IDM 企业以及稳懋等中国台湾代工厂,中国大陆尚无砷化镓代工厂商;射频收发模块、 PMIC、音频 IC 可做到海思设计+foundry 代工,而充电控制 IC、 NFC 控制 IC 以及气压、陀螺仪等传感器主要由欧美 IDM厂商提供。总体来看智能手机核心芯片国产率仍低,部分芯片如 DRAM、 NAND、射频模块等国产化几乎为零。

  以主流旗舰手机 X 为例可以大致看出中国大陆芯片厂商在全球供应链中的地位。 CPU 采用苹果自主设计+台积电先进制程代工, DRAM、 NAND 来自韩国/日本/美国 IDM厂商;基带来自高通设计+台积电先进制程代工;射频模块采用砷化镓材料,来自 Skyworks、Qorvo 等 IDM 厂商或博通+稳懋代工;模拟芯片、音频 IC、 NFC 芯片、触控 IC、影像传感器等均来自中国大陆以外企业,中国大陆芯片在苹果供应链中占比为零。而除芯片、屏幕以外的零部件大多有中国大陆供应商打入,甚至部分由大陆厂商独占。由此可见中国大陆芯片企业在全球范围内竞争力仍低。

  通信基站对国外芯片依赖程度极高,且以美国芯片企业为主。 目前基站系统主要由基带处理单元(BBU)及射频拉远单元(RRU)两部分组成, 通常一台 BBU 对应多台 RRU 设备。 相比之下, RRU 芯片的国产化程度更低,对于国外依赖程度高。

  这其中主要难点体现在 RRU 芯片器件涉及大功率射频场景,通常采用砷化镓或氮化镓材料,而中国大陆缺乏相应产业链。

  美国厂商垄断大功率射频器件。 具体来看, 目前 RRU 设备中的 PA、 LNA、 DSA、 VGA等芯片主要采用砷化镓或氮化镓工艺,来自 Qorvo、 Skyworks 等公司,其中氮化镓器件通常为碳化硅衬底,即 GaN on SiC。 RF 收发器、数模转换器采用硅基及砷化镓工艺,主要厂商包括 TI、 ADI、 IDT 等公司。以上厂商均为美国公司,因而通信基站芯片对美国厂商依赖性极高。

  汽车电子对于半导体器件需求以 MCU、 NOR Flash、 IGBT 等为主。 传统汽车内部主要以 MCU 需求较高,包括动力控制、安全控制、发动机控制、底盘控制、车载电器等多方面。新能源汽车还包括电子控制单元 ECU、功率控制单元 PCU、电动汽车整车控制单元 VCU、混合动力汽车整车控制器 HCU、电池管理系统 BMS 以及逆变器核心部件 IGBT 元件。

  此外在以上相关系统以及紧急刹车系统、胎压检测器、安全气囊系统等还需应用 NOR Flash 作为代码存储。 MCU 通常采用 8 英寸或 12 英寸 45nm~0.15μm 成熟制程, NOR Flash 通常采用 45nm~0.13μm 成熟制程,国内已基本实现量产。

  智能驾驶所采用半导体器件包括高性能计算芯片及 ADAS 系统。 高性能计算芯片目前采用 12 英寸先进制程,而 ADAS 系统中的毫米波雷达则涉及砷化镓材料,目前国内尚无法量产。

  AI 芯片与矿机芯片属于高性能计算,对于先进制程要求较高。 在 AI 及区块链场景下,传统 CPU 算力不足,新架构芯片成为发展趋势。当前主要有延续传统架构的 GPU、 FPGA、ASIC(TPU、 NPU 等)芯片路径, 以及彻底颠覆传统计算架构,采用模拟人脑神经元结构来提升计算能力的芯片路径。 云端领域 GPU 生态领先,而终端场景专用化是未来趋势。

  根据 NVIDIA 与 AMD 公布的技术路线 年 GPU 将进入 12nm/7nm 制程。 而目前 AI、矿机相关的 FPGA 及 ASIC 芯片也均采用了 10~28nm 的先进制程。国内厂商涌现了寒武纪、深鉴科技、地平线、比特大陆等优秀的 IC 设计厂商率先实现突破,而制造则主要依靠台积电等先进制程代工厂商。

  现阶段国产化程度低, 半导体产业实际依靠全球合作。 尽管我国半导体产业目前正处于快速发展阶段,但总体来看存在总体产能较低, 全球市场竞争力弱,核心芯片领域国产化程度低, 对国外依赖程度较高等现状。 我国半导体产业链在材料、设备、制造、设计等多个高端领域对国外高度依赖,实现半导体产业自主替代需经历较漫长道路。

  根据 IC Insight 数据显示, 2015 年我国集成电路企业在全球市场份额仅有 3%,而美国、韩国、日本分别高达54%/20%/8%。 事实上,即便是美国、 韩国、 日本也无法达到半导体产业链 100%自产。例如在先进制程制造的核心设备光刻机方面依然依赖荷兰 ASML 一家企业。更多参与全球分工,在此过程中逐渐提升国产化占比,是一条切实可行的半导体产业发展道路。

  中国大陆芯片下游需求端终端市场全备,供给端有望向中国大陆倾斜。 (1) 需求端:下游终端应用市场全备,规模条件逐步成熟。随着全球终端产品产能向中国转移,中国已经成为全球终端产品制造基地, 2017 年中国汽车、智能手机出货量占全球比重分别达 29.8%、33.6%。芯片需求全面涵盖硅基、化合物半导体市场,芯片市场空间巨大。(2)供给端:当前中国大陆产值规模居前的 IC 设计、晶圆代工、存储厂商寥寥数计,技术水平尚未达到领先水平,中高端芯片制造、化合物半导体芯片严重依赖进口。随着近些年终端需求随智能手机等产业链而逐渐转移至中国大陆,需求转移或拉动制造转移,下游芯片供给端随之开始转移至大陆。

  国内政策加速半导体行业发展。 近年来我国集成电路扶持政策密集颁布, 融资、税收、补贴等政策环境不断优化。 尤其是 2014 年 6 月出台的《国家集成电路产业发展推进纲要》 ,定调“设计为龙头、制造为基础、装备和材料为支撑”,以 2015、 2020、 2030 为成长周期全力推进我国集成电路产业的发展:目标到 2015 年,集成电路产业销售收入超过 3500 亿元;到 2020 年,集成电路产业销售收入年均增速超过 20%; 到 2030 年,集成电路产业链主要环节达到国际先进水平,一批企业进入国际第一梯队,实现跨越发展。

  中国上海,2022年10月25日 —— 世界领先的光学解决方案提供商海洋光学(Ocean Insight)将参加于10月27日~29日在无锡太湖国际博览中心召开的第十届(2022)中国半导体设备年会暨半导体设备与核心部件展示会(CSEAC)。展位号B3-117,海洋光学携最新改善半导体制造工艺的光谱解决方案参展。“凝聚芯合力,发展芯设备”是这次大会的主题雷火竞技,产业升级是国家的大方向,中国半导体产业正在加速前进,海洋光学利用自己在光谱技术领域的多年积累,解决创新过程中遇到的问题,与产业伙伴合力推进创新发展。光纤光谱仪因其快速、无损、原位测量的特点,在半导体行业广受青睐。作为世界上第一台微型光纤光谱仪的发明者,海洋光学多年来在半导体领域也积

  改进,海洋光学参加2022中国半导体设备年会 /

  满足当今技术创新的繁荣发展和复杂多变的产业环境,半导体代工厂需要定量、准确和高速的过程测量。海洋光学(Ocean Insight)与等离子蚀刻技术的领先创新者合作,探索适用于检测关键晶圆蚀刻终点的全光谱等离子监测解决方案。客户面临的挑战随着全球对半导体的需求迅速增长,该行业已做好投资于节约成本的工艺改进以及开发日益复杂的半导体设计和配方的准备。为了满足当今的技术繁荣并应对不断扩大的市场,半导体代工厂需要定量、准确和高速的过程测量。半导体和微机电系统 (MEMS) 正在达到设计极限,通过减小尺寸或提高速度来进一步改进几乎是不可能的。相反,制造商专注于晶圆质量、可重复性和整体良率,以及提高产能。目标是满足对智能电子产品不断增长的需求,同

  ,探索蚀刻终点的全光谱等离子监测解决方案 /

  随着台积电预计在下月开始量产 3nm 工艺,三星也在积极通过增加 4nm 芯片产能来追赶竞争对手。最新消息称,这家韩国制造商正在投资约 38 亿美元,以将四季度晶圆产能提升到每月 20000 片。早前,这家韩国电子科技巨头以通过有限的产能雷火竞技,向早期客户供应 3nm 环栅晶体管(GAA)芯片。而通过 5 万亿韩元的投资来增加 4nm 产能,三星有望从台积电手中抢回高通(Qualcomm)、超微(Supermicro)、英伟达(NVIDIA)等大客户。此前由于产出效率过低,高通在骁龙 8 Gen 1 之后,转投台积电去生产骁龙 8+ Gen 1(以及即将推出的骁龙 8 Gen 2)芯片组。即便到目前为止,Google 一直坚持与三星合作量

  台积电的3nm制程工艺,在去年就已开始风险试产,目前正按计划推进在下半年量产。有外媒在报道中表示,台积电3nm工艺试产进展顺利,量产初期的月产能,预计将超过2.5万片晶圆。从外媒的报道来看,台积电的3nm制程工艺,将在新竹科学园区、台南科学园区工厂生产,新竹科学园区3nm工艺量产初期的月产能预计在10000-20000片晶圆,台南科学园区预计为15000片晶圆,合计月产能在25000-35000片晶圆。3nm工艺在新竹科学园区、台南科学园区量产,也就意味着台积电在这两大园区都建设有3nm工艺生产线nm工艺需要大量的极紫外光刻机及其他的先进设备,因而工厂的投资将会相当庞大。加上漫长研发过程中的投入、量产期间各种原材料的投入及电

  X-FAB宣布升级其衬底耦合分析工具,将BCD-on-SOI工艺纳入其中中国北京,2022年4月21日——全球公认的卓越的模拟/混合信号晶圆代工厂X-FAB Silicon Foundries(“X-FAB”)今日宣布,扩展其SubstrateXtractor工具应用范围,让用户可以借助这一工具检查不想要的衬底耦合效应。作为全球首家为BCD-on-SOI工艺提供此类分析功能的代工厂,X-FAB将这一最初面向XH018和XP018 180nm Bulk CMOS工艺开发的工具,新增了其对XT018 180nm BCD-on-SOI工艺的支持,作为Bulk CMOS工艺外的一项补充半导体。通过使用新的SubstrateXtractor升级版本

  纳入其中 /

  加速特征相关(FD)干法刻蚀的工艺发展在干法刻蚀中,由于与气体分子的碰撞和其他随机热效应,加速离子的轨迹是不均匀且不垂直的(图1)。这会对刻蚀结果有所影响,因为晶圆上任何一点的刻蚀速率将根据大体积腔室可见的立体角和该角度范围内的离子通量而变化。这些不均匀且特征相关的刻蚀速率使半导体工艺设计过程中刻蚀配方的研发愈发复杂。在本文中,我们将论述如何通过在SEMulator3D®中使用可视性刻蚀建模来弥补干法刻蚀这一方面的不足。图1a:中性气体在腔室内随机流动的二维展示。气体的行进角度在图中描绘的所有方向上均等分布(图1a)。图1b:显示了带正电的离子和一个带负电的晶圆。离子会因电场而向下加速;然而,由于随机热效应和与其他离子或气体分子的碰

  发展 /

  制造装备调度及其优化算法

  制程实用教程(第六版)

  有奖直播保护嵌入式设备与系统的完整性和可靠性——英飞凌 OPTIGA TPM 安全解决方案

  异构集成推动面板制程设备(驱动器)的改变 异构集成(HI)已成为封装技术最新的转折点

  Rapid Silicon 允许工程师使用 GPT 进行 FPGA 设计

  Qorvo® 推出首款单个模块即可支持 5.1 至 7.1 GHz 频段的 FEM

  瑞萨电子最新触控微控制器R7F0C208入门套件免费申请,参与申请还能赢好礼!

  安世半导体&世平集团 Nexperia 在5G基础架构的应用 下载赢好礼!

020-88888888