您现在所在位置: 雷火竞技 > 雷火新闻

雷火竞技

Company information

行业动态

Industry dynamics

常见问题

Common Problem

中国将步入半导体产业的新时雷火竞技代

发布日期:2024-02-24 17:06 浏览次数:

  自从2021年以来,形势发生了很大变化。1- 拜登政府继续对中国企业实施出口管制限制,2022年10月7日出台的一揽子管制措施不仅针对先进半导体(如用于运行人工智能和机器学习工作负载的GPU),还大幅扩大了对半导体制造设备(SME)的管制。 2- 美国控制的目标之一是阻止中国公司进入非平面技术工艺,如FinFET和最终的Gate全方位。新的限制包括新的最终用途控制和对美国人的控制,对中国国内半导体行业未来的发展提出了新的重大挑战。 3- 2023年10月17日发布的2022年10月控制措施的更新遵循了这一方法,并为中国半导体行业带来了更多挑战。中国企业采购先进半导体的长期能力现在与中国国内工具制造和制造能力的发展速度更加紧密地联系在一起,这与2021年并不明显,因为现在无法使用外国代工厂的中国设计公司数量大幅增加。

  但是,美国的控制只影响了尖端能力,因此中国企业将继续扩大成熟节点的产能,而这些节点的国内需求仍然很大。在28纳米以下的更先进的节点上,领先的中国公司需要继续获得一些先进的西方工具,特别是深紫外(DUV)浸没光刻系统,他们将继续使用尽可能长的时间来拉伸更先进的节点上的逻辑生产,特别是7纳米,甚至5纳米。然而,重要的是要注意到,使用DUV工具进行高级节点生产是复杂的半导体,因为使用多图案化等技术还需要其他关键工具(如沉积和蚀刻)的高级能力。对于先进的节点生产,需要关键加工工具的紧密耦合。问题不只是光刻工具,诸如光致抗蚀剂之类的材料对于将DUV能力扩展到7纳米及以下的精细特征长度的过程也至关重要。

  尽管美国的控制迄今为止集中在先进的制造能力,中国公司也担心未来的控制,并将优先考虑工具和材料生产线不依靠西方投入,以减少长期风险。因此,即使我们仍然可以获得西方的工具,几乎所有领先的存储器公司都在有条不紊地与国内工具制造商合作,开发和验证设备,最终建立基本上没有西方设备的生产工艺。

  这将是一个多阶段、多年的过程,从40纳米开始,并迅速推进,可能在今年,28纳米,然后14,12/10,最终7纳米。继续接触西方的工具,如紫外光耦合随着一些国外和越来越多的国内蚀刻和沉积工具罐为中国半导体制造业提供通向全国产化未来的桥梁。从整个半导体行业的角度来看,我国正在发生的事情将在未来十年从根本上改变整个行业。 4-我们正在开发公私合作的新方法,以推动关键技术的创新,如先进的光刻技术。通过放宽将政府支持的先进研发活动转移至指定的私营企业、推动企业在关键技术上展开合作,以及采用在其他行业已取得成功的方法,来克服研发瓶颈。这些方法包括让一家大型国有企业在该领域发挥主导作用,同时资助和促进多个团队解决棘手问题,就像百亿亿级计算所做的那样。

  5-半导体制造业的许多其他部分也是新的努力建立中国国内替代品的目标,如设计工具、先进材料、先进封装技术和系统工程方法,旨在通过系统主导的方法来提高性能,而不是仅仅依赖于工艺节点的改进。所有这些方法都将对中国未来的国内能力非常重要,特别是包装,包括芯片设计和2.5和3— d 后端封装方法,这将在系统工程的努力,以提高性能水平和桥梁到新的,国内唯一的生产过程。

  要生产出与全球主流半导体制造工艺相媲美的终端产品,都是非常不容易。所有的努力也会产生赢家和输家,西方工具制造商或许是最大的输家,因为它们正逐渐被排挤出这个他们在2022年10月7日之前一直占据主导地位的巨大、不断增长且利润丰厚的市场。尽管如此,我国半导体行业的部分领域仍将比其他领域与全球发展和供应链更需要和国际保持更大的联系,因为整体形势将继续复杂和不断演变。

  2022年5月,当美国国务卿布林肯将技术竞争置于美中关系和竞争的中心时, 几乎没有人意识到那一年晚些时候会发生什么。2022年秋天,拜登政府首次让高级官员阐明美国对半导体的战略政策,如国家安全顾问杰克·沙利文和商务部副部长艾伦·埃斯特维斯等高级官员所表达的。“苏利文主义”(Sullivan Doctrine)在2022年底提出,包括几个部分,首先是苏利文断言美国打算在关键领域保持对中国的绝对领先,而不是逐步扩大。他还表示,美国在先进技术正在对中国实施“小院子、高篱笆”的做法, 并进一步断言,先进计算(半导体以及人工智能、机器学习和高性能计算)、生物技术和绿色/清洁技术是整个科技生态系统的“真正的力量倍增器”。沙利文还声称:在上述每一个领域发挥领导作用,都是“国家安全”的当务之急。

  美国商务部发布的2022年10月7日规则中最复杂、最有争议的部分是对半导体制造工具和美国人的最终用途管制。要求控制美国中小企业16/14纳米逻辑半导体制造的许可证,以及3- d 128层的NAND存储器和18纳米半间距的DRAM。

  这些控制措施最初是单方面的,没有得到其他主要国家的同意,这些国家的公司在中小企业供应中占据着重要的地位。 日本和 荷兰曾经是美国领先的模具制造商,如应用材料、KLA Tencor和Lam Research被迫从中国工厂撤出所有美国员工,特别是代工行业领导者中芯国际、NAND存储器巨头YMTC和DRAM主要制造商CXMT。

  此外,一揽子限制措施还对中国国内半导体设备制造商的产品投入加以控制,以防它们取代外国领先设备制造商。几乎在一夜之间,整个中国国内制造业和工具制造设备行业被推进了一个全新的时代。

  2023年10月更新 这些规则只会增加中国半导体公司面临的挑战。新的控制措施收紧了一些更老的ASML DUV光刻工具所使用的特定参数的阈值,再次改变了标准。2023封装还提高了可出售给中国终端用户的先进GPU的性能门槛,包括全球领导者Nvidia为中国市场重新设计的一些GPU,以符合2022封装中的限制。

  对于中国的国内产业来说,这些控制措施最重要的影响是极大地激励了中国国内和外国公司在半导体领域追赶美国技术。

  中国资深半导体行业专家强调,国内半导体行业参与者更愿意使用现有的最佳工具,但现在面临着越来越大的压力,需要向国内企业倾斜,并开发替代的供应链。

  从2022年10月的变化开始,国内的产业规划者、公司高管和外国合作伙伴评估了损失,提出了几个关键问题,这些问题将决定中国半导体行业的未来方向。

  首先,控制的单方面性质使得美国政府与荷兰及日本政府必须就如何在控制问题上达成一致进行漫长而痛苦的对话。这个所谓的三方小组已经讨论了近两年对中小企业的控制,但荷兰和日本都倾向于将最终用途控制设置在更先进的节点上,即10纳米或以下。当美国方面坚持将最终用途控制在16/14纳米时,三方会谈破裂。美国方面显然受到了来自国防部的压力。

  2022年夏天有报道称,中芯国际已经能够使用现有的深紫外线(DUV)光刻设备等国内外蚀刻和沉积工具生产某些层的半导体半导体。

  美国政府也严重低估了政治和工业界对单边控制的。所有关于“三边协议”的讨论很快被搁置,私下里,美国官员开始更多地谈论“公平竞争环境”和“责任分担”。 日本和荷兰政府都不想参与一项明显旨在遏制中国科技野心的协议,两国政府也都受到了本国领先半导体公司的强烈。与此同时,无论是日本人、荷兰人,还是工具制造业,都没有预料到内存会被纳入控制范围。

  内存是一个与逻辑非常不同的领域,高度商品化和竞争性,没有遗留节点,要求公司不断向最先进的工艺升级。在2022年控制中包含内存的原因是复杂的,并集中在行业和管理部门对YMTC如何迅速能够移动到NAND制造曲线层NAND,并迅速向更先进的工艺发展,在232层以上。

  有消息称,苹果正在测试YMTC NAND内存模块,用于和产品线。 美国国会指称这是一个潜在的安全问题,尽管苹果计划只在中国销售的产品上使用YMTC内存。

  荷兰和日本政府同意实施2022年10月7日一揽子计划中包含的一些类似但不完全相同的最终用途管制。然而,协议的细节表明,与美国包括人员的控制不同,荷兰和日本公司将能够在现场保留人员,直到合同完成。这使美国的工具制造商处于一个很大的劣势。

  首先需要帮助中芯国际、YMTC和CXMT这样的中国领先企业取代美国的先进工具和支持人员,并慢慢地将这些大型制造业务转变为只从中国供应商采购设备。

  半导体政策的新方法中最重要的部分将是新的公私合作伙伴关系。在北京努力推行新的行业监督方法之际,中国的私营部门,可能在政府的鼓励下,已经悄悄地开始在中国企业远远落后于西方同行的领域投资关键的研发。

  华为是迄今为止中国半导体行业最重要的私营部门推动者。首先,由于美国的控制,华为与中芯国际建立了密切的合作关系,特别是与中芯国际最先进的晶圆厂——由中芯国际、国家集成电路基金和上海集成电路基金共同组建的合资企业华南半导体制造有限公司(SMSC)专注于高级节点进程的开发,特别是使用FinFET技术。

  据一些业内人士透露,SMSC拥有一个成熟和高产的12纳米工艺,并且SMSC还生产所有华为最先进的设计-包括 为其新推出的智能手机和数据中心的半导体。SMSC拥有ASML DUV工具。2023年年中,中芯国际要求ASML加快2050i的交付速度。据业内人士透露,中芯国际和中芯国际目前拥有的先进的ASML DUV工具可以使中芯国际每月增加约5万片7纳米工艺晶圆的产能,尽管目前还不清楚这是否能满足中国除尖端智能手机以外的所有先进半导体需求。

  此外,在过去的三、四年里,华为可能预见到了美国对中国的控制力度加大,因此创建了哈勃科技投资公司(Hubble Technology Investment)等投资工具,并在半导体供应链上投资了一系列公司,尤其是在硬科技创新领域。

  华为还与一系列新的代工厂合作,其中包括Pengxinwei(PXW)。PXW很可能是华为未来的制造工具。然而,PXW迅速受到美国政府的审查, 6 并于2022年12月被列入实体名单。 27 PXW的目标是28纳米生产,但也有计划转移到14和7纳米工艺。

  半导体行业协会(SIA)去年的一份报告指称,华为正与PXW和福建一家DRAM制造商进行合作。作为提高逻辑和内存制造能力的更广泛努力的一部分。

  除了与中芯国际和其他现有晶圆厂合作外,华为也有自己单独的努力,建立一个只在国内生产的流程。这可能位于深圳,业内人士建议,华为已经把一个28纳米线纳米的能力。华为可能正在与上海集成电路研究与开发中心(ICRD)以及包括SMEE在内的国内工具制造商合作这条生产线。

  华为的方法复杂而广泛。华为在深紫外线和极紫外线等关键领域进行了研发(EUV)光刻技术。在这些领域,中国的光刻公司似乎落后于行业领导者ASML和尼康、佳能等日本公司数年,这使得光刻成为关键的技术瓶颈之一。除了资助许多公司(包括一些受到美国控制的公司)之外,该公司还在进行自己的研究,如与EUV相关的技术 。

  华为面临着一场艰难的战斗。不过,在2023年末,华为的情况有所好转,新款智能手机Mate 60和其他先进消费产品的销售额使该公司的年销售额接近1000亿美元,这是自2019年以来的首次增长,尽管该公司的收入仍低于美国控制之前的水平。

  华为与中芯国际,特别是SMSC合作,在Mate 60的设计和制造方面取得了重大突破,这是一个重要的里程碑,预示着中国公司将采取何种措施反制美国的技术限制。中芯国际已经获得了所有的工具和知名的行业 技术——如 使用ASML DUV工具进行多图案化,同时进行有效的沉积和蚀刻应用在Mate 60的核心生产麒麟9000片上系统(SoC),产量很可能在2024年上升。

  中芯国际显然是华为支持完全自主供应链战略的关键,而不仅仅是先进节点半导体。几乎可以肯定,中芯国际与华为等公司以及SME、AMEC、Naura和PXX等工具制造商合作,已经建立了不依赖外国技术的生产线 这些所谓的非A线将继续使用ASML或佳能光刻工具,直到SMEE能够替换这些设备,并将在今年迅速从40纳米到28纳米。

  最后,华为还与阿里巴巴和小米等其他竞争对手一起,引领着中国市场的发展。在 risk-v 中,华为是12家中国公司中的高端会员之一。 risk-v 基金会,以及阿里巴巴、Unisoc和其他较小的参与者。华为在2023年末发布了一个 risk-v 为基础的微控制器。

  据报道,华为的芯片设计部门HiS i con正在开发一种以数据中心为中心的 risk-v 设计,同时也在考虑将该架构用于人工智能加速器。虽然华为仍计划在一些设计中使用ARM架构,但它将在其业务部门中采用多种方法设计半导体。除了可用于人工智能培训的Ascend系列加速器芯片,华为还在开发自己的Maleon GPU架构。再加上Harmony移动操作系统,该公司正试图开发一个完整的非美国操作系统生态系统,可以在多种设备上运行。

  随着美国的出口管制促使中国半导体制造企业在一系列与生产相关的技术和材料上寻求国内替代品,政府帮助私营部门的战略开始成形,重点是分阶段逐步采用国内生产工艺,在很大程度上不使用西方工具。在短期内,该战略还涉及主要的私营部门公司,这些公司专注于系统工程方法,以绕过控制,特别是开发先进的系统,虽然不如西方的替代品,但足以满足许多应用。

  在新的条件下,中国企业掌握的技术范围会大大扩大。 许多公司不认为美国能切断所有的半导体制造技术。如今,这种可能性正主导着该行业的思维,并迫使我们的私营企业开发变通方法和替代方案。主要领域包括:(1)电子设计自动化)工具。(2)光刻、蚀刻和沉积。这些工具需要供应商共同努力,以确保顺畅的互操作性。(3)材料,如工艺气体、光致抗蚀剂和关键输入,包括ABF、TGV和TSV。(4)芯片设计及先进封装技术,包括3维封装等。

  发展一个强大的国内EDA工具行业显然是中国的优先事项之一,似乎有多种努力正在进行中,以创造领先的西方公司的替代品。九天玄机似乎是中国领先的EDA工具公司。九天玄机去年宣称,它可以完全支持7纳米的数字过程和5纳米的模拟过程。

  此外,该公司正在努力填补其服务与西方领导者如Cadence和Synopsis之间的差距。华为也一直在开发EDA工具 ,因为它的芯片设计部门海思(HiSilicon)于2020年被列入实体名单。2023年初,华为公司一位高级官员指出,华为与国内EDA公司合作,基本实现了14nm以上EDA工具的国产化。

  在美国于2019年和2020年对华为实施出口管制之前,HiS i con可以完全访问全球领先的Cadence、Synopsis和Mentor提供的EDA工具,以及ARM提供的半导体IP。同样是在2019年,可能就在华为和HiS i con被列入实体名单之前,这两家公司从西方领先的公司购买了大量的EDA许可证,为期十年,7纳米随后得到了这些工具的支持。 因此,麒麟9000很可能使用了西方的EDA工具来设计,因为麒麟9000的原始设计是在2019年之前完成的。从那时起,华为一直在开发自己的EDA工具套件。尽管它仍然可以使用国外的EDA工具,但它无法获得软件更新和支持,这促使该公司长期开发一个可供选择的EDA生态系统。虽然其他不在美国商务部实体名单上的中国设计公司继续使用西方的EDA工具,但随着这些软件生态系统的成熟,他们更有可能随着时间的推移转向国内的替代品。

  在短期内,国内领先的代工厂中芯国际,在包括所有国内模具制造商和华为等在内的行业主要参与者的支持下,正在试图建立40条和28条不使用美国设备的纳米生产线。然而,在近期内,光刻组件可能仍将包括ASML DUV浸没式光刻设备,中国光刻领导者SMEE正在努力改进该设备,以用于28纳米及以下。

  美国和荷兰分别于2023年9月1日和2024年1月1日生效的管制令这一努力变得更加复杂。值得注意的是,美国在2023年10月17日更新中的管制措施现在包括对双扫描19XX系列的设备。台积电在使用EUV系统之前,使用该设备在7奈米的一些关键层。

  中芯国际在2022年和2023年对这些和更先进的ASML系统进行了同样的操作,这在一定程度上促使美国决定在2022年10月将最终用途控制提高到16/14纳米。

  中芯国际在SMSC工厂使用的N+1和N+2工艺正在继续改进这类生产的技术基础,同时试图提高商业产量。华为Mate 60和AI应用的所有麒麟9000和Ascend 9XX系列芯片都来自SMSC的FinFET工艺,尽管在2022年10月进行了最终用户控制,他们仍然能够继续进行。

  2023年底,有迹象表明,SMEE已经能够生产出一种可行的、潜在商业化的28纳米DUV浸没式光刻工具。

  中小企业的国有支持者上海张江集团(ZJ Group)于12月19日在一个经过认证的社交媒体账户上发帖指出,“作为国内唯一一家掌握光刻技术的企业,SMEE已成功开发出28 nm光刻机。

  SMEE的光刻技术成就了SSA800系列,包括i-line、KrF、Arf和Arfi产品线年发布的十五年科技发展计划的一部分。该子项目“02项目”早在2008年就已启动,名称为“超大规模集成电路成套技术制造项目”。由于项目02的支持,中小型企业从政府协调中小型企业设备供应商的努力中获益。

  其他重要的政府研发机构,如中国科学院,一些一流的中国大学,如清华大学,以及国家重点实验室都在协助这项工作。此外,华为的投资工具哈勃科技有限公司还投资了一家支持这一努力并为SMEE提供供应商的公司:北京科亿宏源光电科技有限公司,该公司正在为深潜器系统提供核心的准分子激光。

  一些关键企业,如生产蚀刻、沉积和清洁工具的Naura,在2022年10月之前就已经开始替代西方领先企业的市场份额。 特别是,Naura的设备取代了YMTC等受美国最终用途管制设施中的许多美国工具制造商。2023年1月初,该公司指出,该年的收入预计将增长42%至57%。竞争对手中国先进微制造设备(AMEC)也预测2023年收入将大幅增长,原因是国内晶圆厂的需求增加。尤其是YMTC,已经与包括Naura和AMEC在内的公司进行了新的更深层次的合作。

  与供应链中的其他公司一起,在一定程度上寻求帮助,以获得替换零件,并确保国内企业可以提高能力,并随着时间的推移取代外国模具制造商,在一些关键领域可能会更早而不是更晚。国外模具制造商越来越担心,国内模具制造商产品质量的提高最终也将使他们能够在中国以外的市场竞争,从而对保持领先地位所需的销售、收入和研发预算。

  一旦40和28纳米线得到验证,中芯国际和行业内的其他参与者将转向14/10纳米生产的类似工艺。考虑到SMEE在SSA800上的突破,2025—26年的某个时候该系统有可能被用于7纳米的尺寸,但目前还不清楚这将多快发生,以及SMEE是否能够制造SSA800的多个商业版本。

  所有这些努力还可能包括确保与华为和其他小型企业开发的EDA工具的兼容性,以确保整个设计和制造过程基本上不受出口控制的美国和相关技术的影响。 如果目标是从40到28,再到14/10和7纳米的生产线,这将需要所有主要供应商的努力:即使我们的制造设备能够加工14纳米甚至7纳米,但主要客户,特别是中芯国际,仍然需要在大批量生产的情况下验证这些工具。截至2023年底,这一工艺似乎是中芯国际在2024年底前拥有完全国产化的14纳米线的努力的一部分。

  但是,虽然DUV的努力很可能在短期内结出果实,但这并不是长久之计。展望未来两到三年雷火竞技,即使中芯国际和其他代工厂可以利用SME DUV进行7纳米的生产,要获得更先进的节点将需要 极紫外光刻系统 ,而它只有ASML已经能够开发商业系统。

  现在我们可能至少有两个或三个单位的努力来开发EUV系统,在某些情况下使用不同的方法来产生ASML系统中使用的13纳米光。这些努力涉及合作,这可能是增加了最近在新的半导体产业政策的推力。其中一项研究是由长春光学精密机械物理研究所( CIOMP公司 )和中国科学院,另一个可能涉及华为。此外,上海集成电路研究开发中心(ICRD)正在做这方面的先行工作。

  中国研究人员还在研究一种新的方法,称为稳态微聚束(SSMB)EUV。在2022年发表在中国期刊 物理学报 ,清华大学的研究人员提出了一种使用SSMB的设计,其中包括一个足球场大小的系统。它的光源为几十台同时工作的EUV机器提供光源。这种方法似乎离任何商业应用水平都很遥远,但从长远来看,它也有可能变得重要。

  华为很可能也在努力解决一些极紫外线技术问题。这可能不是一个完全独立于其他人的努力,因为几乎可以肯定,在某些领域,不同的研发努力可以以各种形式的合作走到了一起。例如,开发适当类型的光致抗蚀剂对于EUV光刻至关重要,目前已有多项EUV光致抗蚀剂专利申请,例如来自上海新阳的专利申请。

  中国半导体制造商在光致抗蚀剂方面严重依赖日本供应商,在日本与美国的出口管制保持一致后,行业内对材料出口也可能面临压力的担忧日益加剧。2022年11月,一家中国国内投资公司的报告称,对于不太先进的传统光刻胶,约30%由国内供应商提供,而对于DUV KrF工艺,这一比例下降到10%;对于先进的Arf DUV和EUV工艺,目前供应的光刻胶比例不到2%。

  因此,除了光源和扫描系统本身,似乎还有多方面的协调努力,以建立一个完整的生产过程,将使用EUV的生态系统。此外,很可能正在开发针对3-5纳米工艺节点的EDA工具能力。

  虽然中国国内企业在光刻硬件方面落后于ASML、尼康和佳能等全球领先企业,但中国也在努力缩小这些系统的关键软件部分的差距。 计算光刻 .中国企业正试图开发更好的计算光刻能力,2022年,一家名为宇维光学的公司声称已经独立开发出计算光学邻近校正(OPC)软件,并表示正在测试和验证中。 51 这可能是一个持续多年的过程,需要持续获得ASML的设备和维护,以及中国国内模具制造商和材料生产商在一系列技术上的改进。

  在设计和封装方面,一些中国公司已经在使用芯片设计,这是一种设计方法,将使用不同工艺生产的芯片集成在一个基板上,以及先进的封装技术,包括2.5-和3- d 包装。例如,Biren的BR 100 GPU使用了两个小芯片。此外,华为正在进行芯片设计的研发。包装现在正成为整体生产的关键部分,后端包装被设计到整个生产过程中,从EDA工具,到集成ARM等公司的IP,到3- d 通过混合不同复杂程度的半导体实现更大功能的封装设计。

  作为这一过程的一部分,华为正在与国内封装行业的领导者,如JCET和通富,合作开发自己的EDA工具。

  与包装密切相关的是材料,如光刻胶、衬底和工艺气体。在这方面,中国企业仍然远远落后于日本和韩国的全球领导者。尤其是日本,占主导地位的 光阻剂 四家日本公司(富士胶片、信越、JR和TOK)占全球市场份额的70%以上。

  积层膜(ABF),一种用于生产高性能半导体(如CPU)的绝缘膜。它对于电绝缘是必不可少的,有助于确保芯片内电子的有效流动。到目前为止,我们还没有采取任何措施来控制这类材料,考虑到基材等材料最终可能受到限制,因此它们正致力于开发家用替代品。

  我国有许多光刻胶生产商,如上海新阳、徐州博康、京瑞、纳塔光电材料和红街,它们正处于开发、生产和验证用于KrF、ArF和ArFi光刻的光刻胶的不同阶段。例如,新阳光电除了开发EUV光刻胶外,预计将在2025年底完成KrF、ArF和i-line市场的设施,并将在2026年晚些时候开始生产,产能约为500吨。由于光刻胶对成品率的影响,对光刻胶有很高的要求,而且用于先进节点工艺的国内光刻胶来源的验证过程可能会很长。

  截至2024年初,中国半导体产业的未来仍然悬而未决。许多技术障碍必须克服,以建立生态系统,使高端设计公司如华为、阿里巴巴和其他公司设计和制造先进的芯片,而不必担心美国的出口管制。考虑到中国正在紧张开发的不同技术领域的数量,单个技术或关键技术组何时成熟到能够实现特定制造供应链元素的规模化商业生产的程度,还有待观察。

  展望未来,一些中国本土设计公司有望保留在海外提供代工服务的机会,但对于图形处理器等一些子行业,美国可能会进一步收紧出口管制,这将切断更多中国图形处理器初创企业进入像台积电(TSMC)等公司的渠道。

  华为在中国半导体和IT行业的独特地位,在一系列子行业部署设计、生产和商业实力,2025年对华为来说可能是特别重要的一年。特别是如果中芯国际能够达到某种类型的5纳米工艺,那么到2025年,华为将有越来越多的可能性在国内生产电信、人工智能、服务器、计算机桌面、智能平板和智能手机半导体。

  作为这一发展的一部分,预计到2025年,中芯国际也将为其他客户,如阿里巴巴的T-Head,GPU领导者必仁科技和Moore Threads,以及其他国内设计公司,提供更大的5/6/7纳米的产能。

  SMSC几乎肯定会优先考虑先进的制造能力技术领先者,包括华为和其他关键参与者,如开发GPU的公司,最终将取代来自Nvidia、AMD和Intel的西方产品。这里很大一部分可能的性能提升,预计并不是来自于功能尺寸的减小,而是来自于设计和华伟包装。 从这个意义上说,Mate 60是对未来事物的预演。

  制造路线图大致是这样的:在未来三年内,国内工具制造商的目标是在28、14、7甚至5纳米的规模上建立商业生产线。这将看到主要的铸芯片制造厂,如中芯国际和华虹,使用现有的 外国官司佳能和 尼康-杜甫 设备,以及一些来自SMEE的国产DUV工具。这方面的挑战是,在难以获得备件和软件升级的情况下,如何保持外国设备的运转。

  此外,各种EUV项目的工作将继续稳步进行,包括建立一批工程师、管理人员和供应链专家,以便在十年后开始为EUV系统的开发和部署开发可持续的生态系统。根据一些行业观察者的说法,在最乐观的情况下,EUV的进展意味着中芯国际可以在2025年开始测试原型系统,但这种情况比其他行业评估要乐观得多。

  对于许多应用,包括5G和训练大语言模型(LLM),中芯国际和华虹应该能够满足国内日益增长的对先进GPU的需求。与此同时,一些不在美国实体名单上的中国公司,如中兴、联想、小米等,仍然可以使用台积电等海外代工服务设计和制造半导体。中国将有一个复杂的混合半导体制造业格局,像存储器制造商YMTC和CXMT这样的关键厂商将因缺乏尖端工具而举步维艰,但仍然有能力生产可用的内存,而其他如华为将依赖中芯国际,首先是外国和国内的混合,然后有国内的工具生产线。

  在此期间,中国企业在整个供应链将取得重大收益,特别是在先进节点的EDA工具,材料,如光刻胶和工艺气体,以及新的领域,如基板,小芯片设计,和专有的先进的2.5—和 三维 包装。

  假设中国新的半导体产业政策为DUV等技术带来显著收益,以保持设计和制造业的发展,从2027年至2030年期间,将可能看到一些重大的成功转向商业化。 大批量制造使用 更先进的系统与某种类型的EUV技术生态系统。此外,中芯国际和华虹等中国封装企业和代工厂也将向价值链上游移动,并掌握了一些先进的封装技术,其中大多数是专有技术。

  国内晶圆代工厂和半导体制造供应链中的其他关键参与者所取得的进步,将逐渐产生两个独立但又相互联系的制造生态系统:中国国内和全球主流

  在 2024 年 1 月的达沃斯论坛上,英特尔首席执行官帕特·盖尔辛格( Pat Gelsinger )断言,考虑到各国实施的所有出口管制政策,中国面临着“ 10 年的差距和可持续的 10 年差距”。 但在由一位科技行业观察家发起的一项 X (以前称为 Twitter 的平台)民意调查中,大多数人不同意盖尔辛格的估计。

  然而,我国半导体行业面临的阻力仍然很大,因为拜登政府和随后的美国政府可能会继续加强对各种半导体供应链关键技术的出口控制,并寻求更多的多边途径来专门针对中国的控制。

  对于中芯国际雷火竞技、SMSC、华为和其他合作开发先进制造业替代供应链的公司来说,一个重要的复杂问题是,美国政府将继续努力打击美国官员认为与华为的努力有关的任何公司。例如,SIA的报告概述了华为在半导体行业的影响力,以及接受哈勃投资的公司之间的合作,这可能会导致美国官员将其他公司列入实体名单,不是因为他们过去或现在的行为,而是因为他们未来可能为华为提供供应。例如,PXW已经是这种情况了。这样的举动是出口管制的一种新用法,也是美国官员“移动目标”的又一个例子。

  美国对总体出口管制的做法的影响,特别是对华为的出口管制,可能意味着美国和其他外国主要工具制造商越来越多地被挤出中国市场。 美国市场 针对中芯国际、 YMTC 和 CXMT 等中国半导体企业的出口管制政策已经使荷兰和日本的工具制造商以及美国市场成为最大的受害者。

  此外,早在2024年,就有迹象表明,拜登政府计划增加一个或多个中国组织参与开发 risk-v 为基础的解决方案的实体列表。 目前尚不清楚这是否是一项针对 risk-v 生态系统,而且很可能会有很多来自业界的反对意见。一位业内高管称此举“愚蠢至极”,中国可能会对任何将开放源代码技术纳入美中技术竞争的举动做出强烈反应,此前开放源代码技术不受美国的出口管制。

  2024年初,美国官员还在考虑收紧华为和浪潮等公司的出口许可,并将更多中国科技公司列入实体名单。美国政府也有可能继续向荷兰和日本政府施压,并与韩国政府合作,控制向中国特定终端用户出口深紫外光刻和其他系统,以及备件和服务。同时,这些举措也有可能迫使我们采取反制措施。

  去年,我国将半导体行业广泛使用的镓和锗等关键材料列入了需要获得出口许可的清单。虽然到目前为止,还没有限制这些和其他材料的出口,但2024年还是有可能会看到一些朝这个方向发展的举措,造成半导体供应链的进一步中断。

  另一个重要的变数将是荷兰和日本政府是否愿意并有能力与它们的半导体工具制造商(它们都是全国领先企业)合作,以配合美国对向中国工厂出口工具的不断变化的控制。两国政府似乎都不愿与美国完全一致,特别是在控制一些不太先进的设备,如浸泡DUV。至少在短期内,荷兰、日本和韩国的公司可能会继续在中国工厂提供一定程度的支持,包括备件、软件更新和公司人员的服务。

  总的来说,中国半导体行业的格局已经变得更加复杂和多样化,有许多参与者、进入者和新的投资类型,人们应该期待看到更多的国内技术突破的公告。但成功克服该行业所面临挑战的真正衡量标准将是主要依靠国内供应链的新产品的生产,如Mate 60、Biren和Moore Threads等公司的新GPU,以及其他国内公司最终转向本土替代品的意愿。雷火竞技雷火竞技

020-88888888